diff --git a/sys/drv/vga/video.c b/sys/drv/vga/video.c index 64a8a02..2e10bea 100644 --- a/sys/drv/vga/video.c +++ b/sys/drv/vga/video.c @@ -2,9 +2,11 @@ #define SIZE 4000 void vga_write(char*, char, short) { - + } void vga_clearscreen() { - + for (int i = 0; i <= SIZE; i++) { + VGA_START[i] = 0; + } } \ No newline at end of file